全国服务热线 157****1077

西门子S7-200DI卡件EM231CN

更新时间:2023-03-30 10:13:32
价格:请来电询价
品牌:西门子
型号:全系列
产地:德国
联系电话:157****1077
联系手机:157****1077
联系人:王清云
让卖家联系我
详细介绍

西门子S7-200DI卡件EM231CN

0 s接通1次,作为C10的计数脉冲,当达到在S7-200 PLC中,单个计数器的*大计数范围是32 767,当需要设定的计数值超过这个*大值时,可通过计数器的串级组合的方法来扩大计数器计数范围。如图3-44所示。当PLC处于RUN状态时,I0.0作为计数器C1的计数脉冲,计数值到,C1的状态为ON,接通M0.0,复位C1,C2对M0.0的脉冲开始计数,此时计数值为1,C1继续对I0.0计数,计数值到,则重新接通M0.0,复位C1,C2对M0.0的第二个脉冲计数,循环往复,直到C2对M0.0的计数值达到初始值,C2的状态位为ON,Q0.0接通。C10的设定值2000时,已实现2000×10 s=20 000 s的延时。

(2)PLC的计数次数计数范围为-32 767~+32 767,当CTUD达到*大值32 767后,下一个CU输入上升沿将使计数值变为*小值-32 767。同样,达到*小值-32 767后,下一个CD输入上升沿将使计数值变为*大值+32 767。

首次扫描CTD时,其状态位为OFF,当前值为设定值。当CD为ON时,在每个输入脉冲的上升沿,计数器计数1次,当前值寄存器减1。如果当前值寄存器减到0时,计数器动作,状态位为ON,计数器的当前值保持为0。当LD端为ON时,计数器复位,使计数器状态位为OFF,当前值为设定值。也可以通过复位指令R使CTD计数器复位。器,共计256个,其编号为C0~C255,每个计数器编号只能使用1次。同定时器基本相同,每个计数器有一个16位的当前值寄存器、设定值寄存器和一个状态位,*大计数值为32 767。首次扫描CTU时,其状态位为OFF,当前值为0。当CU为ON时,在每个输入脉冲的上升沿,计数器计数1次,当前寄存器加1。如果当前值达到设定值PV,计数器动作,状态位为ON,当前值计数递增计数,*大可达32 767。当CU由ON变为OFF时,计数器的当前值停止计数,并保持当前值不变;如果CU又变为ON,则计数器在当前值的基础上继续递增计数。当R端为ON时,计数器复位,使计数器状态位OFF,当前值为0。

LDS、逻辑入栈指令LPS、逻辑读栈指令LRD、逻辑出栈指令L当PLC处于RUN状态时,I0.0接通后,T35计时T1=10 s,计时时间到,T35常开触点闭合,T36计时T2=20 s,计时时间到,驱动Q0.0接通,总计延时T=T1+T2=30 s。由此可见,n个计时器的串级组合,可扩大延时利用定时器实现的脉冲宽度可控制电路。该电路在输入信号宽度不规范的情况下,要求在每一个输入信号的上升沿产生一个宽度固定的脉冲。该脉冲宽度可以调节。当I0.0由OFF变为ON时,M0.0接通,并且通过M0.0的常开触点与T37的常闭触点进行自保持,T37开始计时,同时Q0.0变为ON,T37计时时间到,T37的常闭触点断开,Q0.0由ON变为OFF,M0.0由ON变为OFF,由此产生一个2 s的脉冲,当I0.0的下一个上升沿到来时,重复上述过程。需要说明的是,如果输入信号的两个上升沿之间的距离小于该脉种宽度,则忽略输入信号的第二个上升沿,其中的关键是找出定时器T37的计时输入逻辑,使其不论在I0.0的宽度大于或小于2 s时,都可使Q0.0的宽度为2 s。这里通过调节T37设定值PT的大小,就可控制Q0.0的宽度。该宽度不受I0.0接通时间长短的影响。脉冲宽度可控制电路的范围T=T1+T2+……+Tn。

(2)延时接通/断开电路

为利用定时器实现的延时接通/延时断开电路。当I0.0接通后,T37开始计时,计时3 s后,T37状态位为ON,接通Q0.0,Q0.0常开触点闭合,当I0.0由ON变为OFF,T38开始计时,计时5 s后,T38状态位为ON,因此T38的常闭触点断开,Q0.0由ON变为OFF。虽然,I0.0控制Q0.0的通断,但是Q0.0并不是随着I0.0的变化而及时变化,这主要是因为设置了定时器,而延时通断。延时接通/延时PP该例子的功能是当I0.0接通时,即驱动T33开始定时;当定时到设定值PT时,T33状态位bit置1,其常开触点闭合,驱动Q0.0输出;其后当前值仍增加,但不


联系方式

  • 地址:上海 上海市松江区石湖荡镇塔汇路755弄29号1幢一层A区213室
  • 电话:157****1077
  • 联系人:王清云
  • 手机:157****1077
  • 微信:157****1077
  • QQ:2254834168